Explicația Tabelului Adder și Adder Complet cu Adevăr

Încercați Instrumentul Nostru Pentru Eliminarea Problemelor





În circuitele combinaționale, diferite porți logice sunt utilizate pentru proiectarea codificatorului, multiplexorului, decodorului și de-multiplexorului. Aceste circuite au unele caracteristici, cum ar fi ieșirea acestui circuit depinde în principal de nivelurile care există la terminalele de intrare în orice moment. Acest circuit nu include nicio memorie. Starea anterioară a intrării nu are nicio influență asupra stării actuale a acestui circuit. Intrările și ieșirile unui circuit combinațional sunt „n” nr. de intrări & „m” nr. de ieșiri. Unele dintre circuitele combinaționale sunt jumătate sumator și sumator complet, subtractor, codificator, decodor, multiplexor și demultiplexor. Acest articol prezintă o prezentare generală a jumătății sumatorului și a sumatorului complet și funcționează cu tabele de adevăr.

Ce este un Adder?

Un sumator este un circuit logic digital în electronică care este folosită pe scară largă pentru adunarea numerelor. În multe computere și alte tipuri de procesoare, adăugatoarele sunt chiar utilizate pentru a calcula adrese și activități conexe și pentru a calcula indicii de tabel în ALU și chiar utilizați în alte părți ale procesoarelor. Acestea pot fi construite pentru multe reprezentări numerice, cum ar fi excesul-3 sau codul zecimal binar. Aditivii sunt practic clasificați în două tipuri: jumătate de sumator și sumator complet.




Ce este Circuitul Half Adder și Full Adder?

Circuitul pe jumătate sumator are două intrări: A și B, care adaugă două cifre de intrare și generează un report și o sumă. Circuitul complet al sumatorului are trei intrări: A și C, care adaugă trei numere de intrare și generează un report și o sumă. Acest articol oferă informații detaliate despre care este scopul unei jumătăți de sumator și sumator complet în forme tabulare și chiar și în diagrame de circuit. Se menționează deja că scopul principal și crucial al aditivilor este adăugarea. Mai jos sunt detaliate jumătate de sumator și teoria completă a sumatorului.

Jumătate de sumă de bază și sumă completă

Jumătate de sumă de bază și sumă completă



Jumătate Adder

Deci, ajungând la scenariul jumătății sumatorului, adaugă două cifre binare în care biții de intrare sunt denumiți augend și addend și rezultatul va fi două ieșiri, una este suma și cealaltă este carry. Pentru a efectua operația de sumă, XOR se aplică ambelor intrări, iar poarta ȘI se aplică ambelor intrări pentru a produce transport.

Diagrama funcțională HA

Diagrama funcțională HA

În timp ce în circuitul complet adder, acesta adaugă 3 numere pe un bit, unde doi din cei trei biți pot fi denumiți operanzi și celălalt este denumit bit transportat. Ieșirea produsă este ieșirea pe 2 biți și acestea pot fi referite la ca rezultat și suma.

Utilizând o jumătate de sumator, puteți proiecta adăugări simple cu ajutorul porților logice.


Să vedem un exemplu de adăugare a doi biți simpli.

2-bit tabel de adevăr pe jumătate de sumer este ca mai jos:

Tabelul Adevărului Semipreparat

Tabelul Adevărului Semipreparat

0 + 0 = 0
0 + 1 = 1
1 + 0 = 1
1 + 1 = 10

Acestea sunt cele mai puține combinații posibile de un singur bit. Dar rezultatul pentru 1 + 1 este 10, rezultatul sumei trebuie rescris ca o ieșire de 2 biți. Astfel, ecuațiile pot fi scrise ca

0 + 0 = 00
0 + 1 = 01
1 + 0 = 01
1 + 1 = 10

Ieșirea „1” din „10” este realizată. „SUM” este ieșirea normală și „CARRY” este realizarea.

Acum s-a șters că un sumator de 1 biți poate fi implementat cu ușurință cu ajutorul XOR Gate pentru ieșirea „SUM” și o poartă AND pentru „Carry”.

De exemplu, atunci când trebuie să adăugăm doi octeți de 8 biți împreună, atunci acesta poate fi implementat utilizând un circuit logic complet. Sumatoarea este utilă atunci când doriți să adăugați cantități dintr-o cifră binară.

O modalitate de a dezvolta adăugători cu două cifre binare ar fi să faci un tabel de adevăr și să-l reduci. Când doriți să creați un sumator cu trei cifre binare, operația de adăugare a jumătății de sumator se efectuează de două ori. Într-un mod similar, atunci când decideți să faceți un sumator din patru cifre, operația este efectuată încă o dată. Cu această teorie, a fost clar că implementarea este simplă, dar dezvoltarea este un proces care necesită timp.

Cea mai simplă expresie folosește funcția OR exclusivă:

Suma = A XOR B

Carry = A ȘI B

Diagrama logică HA

Diagrama logică HA

Și o expresie echivalentă în termeni de bază AND, OR și NOT este:

SUMA = A.B + A.B ’

Cod VHDL pentru Half Adder

Entitatea ha este

Port (a: în STD_LOGIC
b: în STD_LOGIC
sha: out STD_LOGIC
cha: out STD_LOGIC)
sfarsit ha

Arhitectură Comportamentul circuitului de mai sus este

începe
sha<= a xor b
Nu<= a and b
final Comportamentale

Număr IC Semipreparator

Implementarea jumătății de sumator se poate face prin circuite integrate logice digitale CMOS de mare viteză, precum seria 74HCxx, care include SN74HC08 (7408) și SN74HC86 (7486).

Limitări la jumătate de sumator

Principalul motiv pentru a apela aceste adăugătoare binare, cum ar fi Half Adders, este că nu există o gamă care să includă bitul de transport folosind un bit anterior. Deci, aceasta este o limitare principală a HA-urilor utilizate odată ca sumator binar, în special în situații în timp real care implică adăugarea mai multor biți. Deci, această limitare poate fi depășită prin utilizarea completelor de adăugare.

Sumator complet

Acest sumator este dificil de implementat în comparație cu jumătate de sumator.

Schema funcțională completă a sumatorului

Schema funcțională completă a sumatorului

Diferența dintre o jumătate de sumator și o sumator complet este că sumatorul complet are trei intrări și două ieșiri, în timp ce jumătate de sumator are doar două intrări și două ieșiri. Primele două intrări sunt A și B, iar a treia intrare este o intrare transportată ca C-IN. Când este proiectată o logică completă a sumatorului, înșirați opt dintre ele pentru a crea un sumator la nivel de octeți și în cascadă bitul de transport de la un sumator la altul.

FA Tabelul Adevărului

FA Tabelul Adevărului

Transportul de ieșire este desemnat ca C-OUT, iar ieșirea normală este reprezentată ca S care este „SUM”.

Cu cele de mai sus complet de completare adevăr-tabel , implementarea unui circuit complet adder poate fi înțeleasă cu ușurință. SUM „S” este produs în doi pași:

  1. Prin XORing intrările furnizate „A” și „B”
  2. Rezultatul lui A XOR B este apoi XORed cu C-IN

Acest lucru generează SUM și C-OUT este adevărat numai atunci când oricare dintre cele trei intrări sunt HIGH, atunci C-OUT va fi HIGH. Deci, putem implementa un circuit complet de sumator cu ajutorul a două circuite de jumătate de sumator. Inițial, jumătatea sumator va fi utilizată pentru a adăuga A și B pentru a produce o sumă parțială și o logică a doua jumătate a sumatorului poate fi utilizată pentru a adăuga C-IN la suma produsă de prima jumătate sumator pentru a obține rezultatul final S.

Dacă oricare dintre logica jumătății sumatorului produce un report, va exista un report de ieșire. Deci, C-OUT va fi o funcție SAU a rezultatelor Carry pe jumătate de sumator. Aruncați o privire la implementarea circuitului complet al sumatorului prezentat mai jos.

Diagrama logică completă Adder

Diagrama logică completă Adder

Implementarea unor diagrame logice mai mari este posibilă cu logica completă de mai sus, un simbol mai simplu este folosit în cea mai mare parte pentru a reprezenta operația. Dat mai jos este o reprezentare schematică mai simplă a unui sumator complet de un bit.

Cu acest tip de simbol, putem adăuga doi biți împreună, luând un report din următorul ordin inferior de mărime și trimitând un report în următorul ordin superior de mărime. Într-un computer, pentru o operațiune pe mai mulți biți, fiecare bit trebuie să fie reprezentat de un sumator complet și trebuie adăugat simultan. Astfel, pentru a adăuga două numere de 8 biți, veți avea nevoie de 8 addere complete care pot fi formate prin cascadă a două dintre blocurile de 4 biți.

Half Adder și Full Adder folosind K-Map

Chiar și suma și rezultatele pentru jumătate de sumator pot fi obținute și cu metoda hărții Karnaugh (harta K). jumătate de sumator și sumator complet expresie booleană poate fi obținut prin K-map. Deci, harta K pentru aceste adăugătoare este discutată mai jos.

K-harta pe jumătate a sumatorului este

HA K-Map

HA K-Map

Suma completă K-Map este

FA K-Map

FA K-Map

Expresia logică a SUMĂ și Carry

Expresia logică a sumei (S) poate fi determinată pe baza intrărilor menționate în tabel.

= A’B’Cin + A ’B CCin’ + A B’Cin ’+ AB Cin
= Cin (A’B ’+ AB) + Cin’ (A’B + A B ’)
= Cin EX-OR (A EX-OR B)
= (1,2,4,7)

Expresia logică a carry (Cout) poate fi determinată pe baza intrărilor menționate în tabel.

= A’B Cin + AB’Cin + AB Cin ’+ ABCin
= AB + BCin + ACin
= (3, 5, 6, 7)

Cu tabelele de adevăr menționate mai sus, rezultatele pot fi obținute și procedura este:

Un circuit combinațional combină diferitele porți din circuit în care acestea pot fi un codificator, decodor, multiplexor și demultiplexor . Caracteristicile circuitelor combinaționale sunt următoarele.

  • Ieșirea în orice moment se bazează numai pe nivelurile prezente la terminalele de intrare.
  • Nu folosește nicio memorie. Starea anterioară de intrare nu are niciun efect asupra stării actuale a circuitului.
  • Poate avea orice număr de intrări și m număr de ieșiri.

Codare VHDL

Codare VHDL pentru sumator complet include următoarele.

entitate full_add este

Port (a: în STD_LOGIC
b: în STD_LOGIC
cin: în STD_LOGIC
sum: out STD_LOGIC
cout: out STD_LOGIC)
încheie full_add

Arhitectură Comportamentul full_add este

componenta ha este
Port (a: în STD_LOGIC
b: în STD_LOGIC
sha: out STD_LOGIC
cha: out STD_LOGIC)
componentă finală
semnal s_s, c1, c2: STD_LOGIC
începe
HA1: harta portului ha (a, b, s_s, c1)
HA2: harta portului ha (s_s, cin, sum, c2)
cost<=c1 or c2
final Comportamentale

diferența dintre jumătate de sumator și sumator complet este că jumătate de sumator produce rezultate și sumator complet folosește jumătate de sumator pentru a produce un alt rezultat. În mod similar, în timp ce Full-Adder este format din două Half-Adders, Full-Adder este blocul real pe care îl folosim pentru a crea circuitele aritmetice.

Carry Lookahead Adders

În conceptul de circuite de adăugare a ondulatorilor, biții necesari pentru adăugare sunt disponibili imediat. În timp ce fiecare secțiune de adder trebuie să-și păstreze timpul pentru sosirea transportului din blocul de adder anterior. Din acest motiv, este nevoie de mai mult timp pentru a produce SUM și CARRY, deoarece fiecare secțiune din circuit așteaptă sosirea intrării.

De exemplu, pentru a livra ieșirea pentru al n-lea bloc, acesta trebuie să primească intrarea din (n-1) blocul. Și această întârziere este denumită în mod corespunzător ca întârziere de propagare.

Pentru a depăși întârzierea în adderul purtătorului de ondulare, a fost introdus un adăugător de tip carry-lookahead. Aici, utilizând hardware complicat, întârzierea propagării poate fi redusă la minimum. Diagrama de mai jos prezintă un adder cu capul care folosește addere complete.

Carry Lookahead folosind Adder complet

Carry Lookahead folosind Adder complet

Tabelul adevărului și ecuațiile de ieșire corespunzătoare sunt

LA B C C + 1 Condiție
0000

Fără transport

Genera

0010
0100
0111

Fără transport

Propaga

1000
1011
1101

Transporta

Genera

1111

Ecuația de propagare a transportului este Pi = Ai XOR Bi și generarea de transport este Gi = Ai * Bi. Cu aceste ecuații, ecuațiile de sumă și de transport pot fi reprezentate ca

SUMA = Pi XOR Ci

Ci + 1 = Gi + Pi * Ci

Gi livrează transport numai atunci când ambele intrări Ai și Bi sunt 1 fără a lua în considerare transportul de intrare. Pi este legat de propagarea transportului de la Ci la Ci + 1.

Diferența dintre Half Adder și Full Adder

diferența dintre tabelul de jumătate și cel complet este prezentat mai jos.

Jumătate Adder Sumator complet
Half Adder (HA) este un circuit logic combinațional și acest circuit este utilizat pentru a adăuga două cifre de un bit.Full Adder (FA) este un circuit combinațional și acest circuit este utilizat pentru a adăuga trei cifre de un bit.
În HA, odată ce reportul este generat din adăugarea anterioară nu poate fi adăugat la pasul următor.În FA, odată ce reportul este generat de adăugarea anterioară, atunci acesta poate fi adăugat la pasul următor.
Jumătura sumator include două porți logice, cum ar fi poarta ȘI poarta EX-OR.Sumatorul complet include două porți EX-OR, două porți SAU și două porți ȘI.
Biții de intrare în jumătatea sumatorului sunt doi ca A, B.Biții de intrare din sumatorul complet sunt trei ca A, B & C-in
Jumătura sumatorului și ecuația de transport este

S = a⊕b C = a * b

Expresia logică completă a sumatorului este

S = a ⊕ b⊕Cin Cout = (a * b) + (Cin * (a⊕b)).

HA este utilizat în computere, calculatoare, dispozitive utilizate pentru măsurarea digitală etc.FA este utilizat în procesoare digitale, adăugarea de biți multipli etc.

diferențele cheie între jumătatea și cea completă sunt discutate mai jos.

  • Jumătura sumator generează sum & carry adăugând două intrări binare, în timp ce sumatorul complet este utilizat pentru a genera sum & carry adăugând trei intrări binare. Atât arhitectura hardware pe jumătate, cât și pe cea completă nu este aceeași.
  • Principala caracteristică care diferențiază HA și FA este că în HA nu există o astfel de tranzacție care să ia în considerare ultima adiție ca intrare. Dar, un FA localizează o anumită coloană de intrare, cum ar fi Cin, pentru a lua în considerare bitul de transport al ultimei adăugări.
  • Cele două addere vor arăta o diferență în funcție de componentele utilizate în circuit pentru construcția sa. Sumatoarele (HA) sunt proiectate cu combinația a două porți logice, cum ar fi AND & EX-OR, în timp ce FA este proiectată cu combinația de trei porți AND, două XOR și una OR.
  • Practic, HA funcționează pe 2-două intrări de 1-bit, în timp ce FA-urile operează pe trei intrări de 1-bit. Jumătura sumator este utilizată în diferite dispozitive electronice pentru evaluarea adăugării, în timp ce sumatorul complet este utilizat în procesoarele digitale pentru adăugarea unui bit lung.
  • Asemănările dintre aceste două addere sunt, ambele HA și FA sunt circuite digitale combinaționale, deci nu folosesc niciun element de memorie, cum ar fi circuitele secvențiale. Aceste circuite sunt esențiale pentru operația aritmetică pentru a furniza adăugarea numărului binar.

Implementare completă Adder folosind Half Adders

Implementarea unui FA poate fi realizată prin intermediul a două jumătăți de addere care sunt conectate logic. Diagrama bloc a acestui lucru poate fi prezentată mai jos, care arată conexiunea unui FA folosind două jumătăți de adunare.
Suma și ecuațiile de calcul din calculele anterioare sunt

S = A ‘B’ Cin + A ’BC’ în + ABCin

Cout = AB + ACin + BCin

Ecuația sumă poate fi scrisă ca.

Cin (A’B ‘+ AB) + C’ în (A ‘B + A B’)

Deci, Suma = Cin EX-OR (A EX-OR B)

Cin (A EX-OR B) + C’in (A EX-OR B)

= Cin EX-OR (A EX-OR B)

Cout poate fi scris ca următorul.

COUT = AB + ACin + BCin.

Cout = AB + + deziluzii BCIN (A + A)

= ABCin + AB + ACin + A ’B Cin

= AB (1 + Cin) + ACin + A ’B Cin

= A B + ACin + A ’B Cin

= AB + ACin (B + B ’) + A’ B Cin

= ABCin + AB + A’B Cin + A ’B Cin

= AB (Cin + 1) + A B Cin + A ’B Cin

= AB + AB ’Cin + A’ B Cin

= AB + Cin (AB ’+ A’B)

Prin urmare, COUT = AB + Cin (A EX-OR B)

În funcție de cele două sume și ecuații de transport de mai sus, circuitul FA poate fi implementat cu ajutorul a două HA și o poartă SAU. Schema de circuit a unui sumator complet cu două jumătăți de adunare este ilustrată mai sus.

Sumator complet folosind două jumătăți de sume

Sumator complet folosind două jumătăți de sume

Design complet Adder cu utilizarea porților NAND

O poartă NAND este un fel de poartă universală, utilizată pentru a executa orice tip de proiectare logică. Circuitul FA cu diagrama porților NAND este prezentat mai jos.

FA folosind NAND Gates

FA folosind NAND Gates

FA este un sumator ușor de un bit și dacă dorim să executăm adăugarea de n-bit, atunci n nu. a FA-urilor pe un bit trebuie să fie utilizate în formatul conexiunii în cascadă.

Avantaje

avantajele jumătății de adder și a adderului complet include următoarele.

  • Scopul principal al unei jumătăți de sumator este de a adăuga două numere pe un singur bit
  • Adăugătorii complet dețin capacitatea de a adăuga un bit de transport care rezultă din adăugarea anterioară
  • Cu sumatorul complet, pot fi implementate circuite cruciale, cum ar fi sumatorul, multiplexorul și multe altele
  • Circuitele de sumator complet consumă o putere minimă
  • Avantajele unui sumator complet față de o jumătate de sumator sunt, un sumator complet este utilizat pentru a depăși dezavantajul unei jumătăți de sumator, deoarece jumătate de sumator este utilizat în principal pentru a adăuga două numere de 1 bit. Jumătate de adăugători nu adaugă bitul de transport, așa că pentru a depăși această sumă completă este folosită. În Adder complet, se poate face adăugarea a trei biți și generează două ieșiri.
  • Proiectarea adderilor este simplă și este un element de bază, astfel încât adăugarea pe un bit poate fi ușor de înțeles.
  • Acest sumator poate fi convertit în jumătate de scădere prin adăugarea unui invertor.
  • Utilizând o sumă completă, se poate obține un randament ridicat.
  • Viteza mare
  • Foarte puternic pentru alimentarea scalării tensiunii

Dezavantaje

dezavantaje de jumătate de sumator și de sumator complet include următoarele.

  • În plus, jumătate de sumator nu poate fi folosit înainte de transport, deci nu se aplică pentru adăugarea în cascadă a adăugării de mai mulți biți.
  • Pentru a depăși acest dezavantaj, FA este necesar să adăugați trei 1 bit.
  • Odată ce FA este utilizat sub forma unui lanț ca un RA (Ripple Adder), atunci capacitatea de ieșire a unității poate fi redusă.

Aplicații

Aplicațiile pentru jumătate de sumator și sumator complet includ următoarele.

  • Adăugarea de biți binari poate fi făcută cu jumătate de sumator folosind ALU din computer, deoarece folosește sumator.
  • Combinația de jumătate de sumator poate fi utilizată pentru proiectarea unui circuit complet de sumator.
  • Jumăturile de adunare sunt utilizate în calculatoare și pentru a măsura adresele, precum și tabelele
  • Aceste circuite sunt utilizate pentru a gestiona diferite aplicații în cadrul circuitelor digitale. În viitor, acesta joacă un rol cheie în electronica digitală.
  • Un circuit FA este folosit ca element în multe circuite mari, cum ar fi Ripple Carry Adder. Acest sumator adaugă numărul de biți simultan.
  • FA-urile sunt utilizate în Unitatea Logică Aritmetică (ALU)
  • FA-urile sunt utilizate în aplicații legate de grafică, cum ar fi GPU (Graphics Processing Unit)
  • Acestea sunt utilizate în circuitul de multiplicare pentru a executa multiplicarea Carryout.
  • Într-un computer, pentru a genera adresa de memorie și pentru a construi contrapunctul programului către instrucțiuni ulterioare, Unitatea logică aritmetică este utilizată folosind Full Adders.

Astfel, ori de câte ori se face adăugarea a două numere binare, atunci cifrele sunt adăugate la început cei mai puțini biți. Acest proces poate fi realizat printr-o jumătate de sumator, deoarece cel mai simplu n / w care permite adăugarea a două numere de 1 bit. Intrările acestui sumator sunt cifre binare, în timp ce ieșirile sunt suma (S) și reportul (C).

Ori de câte ori este inclus numărul de cifre, atunci rețeaua HA este utilizată pur și simplu pentru a conecta cele mai mici cifre, deoarece HA nu poate adăuga numărul de transport din clasa anterioară. O sumă completă poate fi definită ca baza tuturor dispozitivelor aritmetice digitale. Acesta este utilizat pentru adăugarea a trei numere de 1 cifră. Acest sumator include trei intrări precum A, B și Cin, în timp ce ieșirile sunt Sum și Cout.

Concepte conexe

concepte legate de jumătate sumator și sumator complet pur și simplu nu rămâneți la un singur scop. Au o utilizare extinsă în multe aplicații și câteva dintre acestea sunt menționate:

  • Număr IC jumătate de sumator și sumator complet
  • Dezvoltarea adderului pe 8 biți
  • Care sunt măsurile de precauție pe jumătate?
  • Applet JAVA al unui aditiv de transport Ripple

Prin urmare, aici este vorba despre jumătate de sumator și teoria completă a sumatorului împreună cu tabelele de adevăr și diagramele logice, este prezentat și designul sumatorului complet folosind circuitul jumătății sumatorului. Multi dintre jumătate sumator și sumator complet pdf documentele sunt disponibile pentru a furniza informații avansate despre aceste concepte. În plus, este important să știm cum este implementat un sumator complet pe 4 biți ?