Multiplexor și demultiplexor: tipuri și diferențele lor

Încercați Instrumentul Nostru Pentru Eliminarea Problemelor





În sistemele digitale la scară largă, este necesară o singură linie pentru a transporta două sau mai multe semnale digitale - și bineînțeles! la un moment dat, un singur semnal poate fi plasat pe o singură linie. Dar, ceea ce este necesar este un dispozitiv care ne va permite să selectăm și, semnalul pe care dorim să-l plasăm pe o linie comună, un astfel de circuit este denumit multiplexor. Funcția unui multiplexor este de a selecta intrarea oricărei linii de intrare „n” și de a o alimenta către o linie de ieșire. Funcția unui demultiplexor este de a inversa funcția multiplexorului. Formele de comenzi rapide ale multiplexorului și demultiplexere sunt mux și demux. Unele multiplexoare execută ambele multiplexare și operațiuni de demultiplexare. Funcția principală a multiplexorului este că combină semnalele de intrare, permite compresia datelor și partajează un singur canal de transmisie. Acest articol oferă o prezentare generală a multiplexorului și demultiplexorului.

Ce sunt multiplexorul și demultiplexorul?

În rețea transmisie , atât multiplexorul cât și demultiplexorul sunt circuite combinaționale . Un multiplexor selectează o intrare din mai multe intrări, apoi este transmisă sub forma unei singure linii. Un nume alternativ al multiplexorului este MUX sau selector de date. Un demultiplexor folosește un singur semnal de intrare și generează multe. Deci, este cunoscut sub numele de Demux sau distribuitor de date.




Multiplexor și demultiplexor

Multiplexor și demultiplexor

Ce este un multiplexor?

Multiplexorul este un dispozitiv care are intrări multiple și ieșire cu o singură linie. Liniile selectate determină ce intrare este conectată la ieșire și, de asemenea, măresc cantitatea de date care poate fi trimisă printr-o rețea într-un anumit timp. Se mai numește și un selector de date.



Comutatorul multi-poziție unipolar este un exemplu simplu de circuit non-electronic al multiplexorului și este utilizat pe scară largă în multe circuite electronice . Multiplexorul este utilizat pentru a efectua comutarea de mare viteză și este construit de componente electronice .

Multiplexor

Multiplexor

Multiplexerele sunt capabile să manipuleze atât analogice, cât și aplicații digitale . În aplicațiile analogice, multiplexerele sunt alcătuite din relee și comutatoare cu tranzistoare, în timp ce în aplicațiile digitale multiplexerele sunt construite din standard porți logice . Când multiplexorul este utilizat pentru aplicații digitale, acesta se numește multiplexor digital.

Tipuri de multiplexor

Multiplexorii sunt clasificați în patru tipuri:


  • 2-1 multiplexor (1 linie selectată)
  • 4-1 multiplexor (2 linii selectate)
  • 8-1 multiplexor (3 linii selectate)
  • 16-1 multiplexor (4 linii selectate)

Multiplexor 4 la 1

Multiplexorul 4X1 cuprinde 4 biți de intrare, 1 bit de ieșire și 2 biți de control. Cei patru biți de intrare sunt anume 0, D1, D2 și D3, respectiv doar unul dintre biții de intrare este transmis la ieșire. O / p ‘q’ depinde de valoarea intrării de control AB. Bitul de control AB decide care dintre bitul de date i / p trebuie să transmită ieșirea. Următoarea figură arată schema circuitului multiplexor 4X1 folosind porți ȘI. De exemplu, când biții de control AB = 00, atunci porțile AND superioare sunt permise în timp ce porțile AND rămase sunt restricționate. Astfel, intrarea de date D0 este transmisă la ieșirea ‘q”

4X1 Mux

4X1 Mux

Dacă intrarea de control este modificată la 11, atunci toate porțile sunt restricționate, cu excepția porții de jos ȘI. În acest caz, D3 este transmis la ieșire și q = D0. Dacă intrarea de control este schimbată în AB = 11, toate porțile sunt dezactivate, cu excepția porții de jos ȘI. În acest caz, D3 este transmis la ieșire și q = D3. Cel mai bun exemplu de multiplexor 4X1 este IC 74153. În acest IC, o / p este același cu i / p. Un alt exemplu de multiplexor 4X1 este IC 45352. În acest IC, o / p este complimentul i / p

Multiplexor 8-la-1

Multiplexorul 8-la-1 este format din 8 linii de intrare, o linie de ieșire și 3 linii de selecție.

8-la-1 Mux

8-la-1 Mux

8-1 Circuit multiplexor

Pentru combinația unei intrări de selecție, linia de date este conectată la linia de ieșire. Circuitul prezentat mai jos este un multiplexor 8 * 1. Multiplexorul 8-la-1 necesită 8 porți AND, o poartă SAU și 3 linii de selecție. Ca intrare, combinația de intrări de selecție dă poarta ȘI cu liniile de date de intrare corespunzătoare.

În mod similar, toate porțile ȘI sunt conectate. În acest multiplexor de 8 * 1, pentru orice intrare de linie de selecție, o poartă AND dă o valoare de 1, iar toate porțile AND restante dau 0. Și, în cele din urmă, folosind porți OR, se adaugă toate porțile AND egală cu valoarea selectată.

Circuit Mux 8-la-1

Circuit Mux 8-la-1

Avantajele și dezavantajele multiplexorului

avantajele multiplexorului include următoarele.

  • În multiplexor, utilizarea unui număr de fire poate fi redusă
  • Reduce costul, precum și complexitatea circuitului
  • Implementarea unui număr de circuite combinate poate fi posibilă prin utilizarea unui multiplexor
  • Mux nu necesită hărți K și simplificare
  • Multiplexorul poate face circuitul de transmisie mai puțin complex și mai economic
  • Disiparea căldurii este mai mică datorită curentului analogic de comutare care variază de la 10mA la 20mA.
  • Capacitatea multiplexor poate fi extinsă pentru a comuta semnale audio, semnale video etc.
  • Fiabilitatea sistemului digital poate fi îmbunătățită folosind un MUX, deoarece scade numărul de conexiuni cablate exterioare.
  • MUX este utilizat pentru a implementa mai multe circuite combinaționale
  • Proiectarea logică poate fi simplificată prin MUX

dezavantaje ale multiplexorului include următoarele.

  • Întârzieri suplimentare necesare în porturile de comutare și semnalele I / O care se propagă în multiplexor.
  • Porturile care pot fi utilizate în același timp au limitări
  • Comutarea porturilor poate fi gestionată prin adăugarea complexității firmware-ului
  • Controlul multiplexorului se poate face folosind porturi I / O suplimentare.

Aplicațiile multiplexoarelor

Multiplexorii sunt utilizați în diverse aplicații în care datele multiple trebuie transmise utilizând o singură linie.

Sistem de comunicatii

LA Sistem de comunicatii are atât o rețea de comunicații, cât și un sistem de transmisie. Prin utilizarea unui multiplexor, eficiența sistemului de comunicații poate fi crescut permițând transmiterea de date, cum ar fi date audio și video de la diferite canale prin linii sau cabluri unice.

Memorie computer

Multiplexorii sunt folosiți în memoria computerului pentru a menține o cantitate uriașă de memorie în computere și, de asemenea, pentru a reduce numărul de linii de cupru necesare pentru a conecta memoria la alte părți ale computerului.

Rețea telefonică

În rețelele telefonice, mai multe semnale audio sunt integrate pe o singură linie de transmisie cu ajutorul unui multiplexor.

Transmiterea din sistemul de calcul al unui satelit

Multiplexorul este utilizat pentru a transmite semnalele de date de la sistemul de calcul al unei nave spațiale sau de la un satelit către sistemul de la sol folosind un satelit GSM .

Ce este Demultiplexer?

De-multiplexorul este, de asemenea, un dispozitiv cu o linie de intrare și mai multe linii de ieșire. Este folosit pentru a trimite un semnal către unul dintre numeroasele dispozitive. Principala diferență între un multiplexor și un de-multiplexor este că un multiplexor ia două sau mai multe semnale și le codifică pe un fir, în timp ce un de-multiplexor inversează ceea ce face multiplexorul.

Demultiplexor

Demultiplexor

Tipuri de demultiplexor

Demultiplexerele sunt clasificate în patru tipuri

  • 1-2 demultiplexor (1 linie selectată)
  • 1-4 demultiplexor (2 linii selectate)
  • 1-8 demultiplexor (3 linii selectate)
  • 1-16 demultiplexor (4 linii selectate)

1-4 Demultiplexor

Demultiplexorul de la 1 la 4 cuprinde 1 bit de intrare, 4 biți de ieșire și biți de control. Diagrama circuitului demultiplexor 1X4 este prezentată mai jos.

1X4 Demux

1X4 Demux

Bitul i / p este considerat ca fiind D. Acest bit de date este transmis către bitul de date al liniilor o / p, care depinde de valoarea AB și de controlul i / p.

Când controlul i / p AB = 01, a doua poartă AND superioară este permisă în timp ce porțile AND rămase sunt restricționate. Astfel, numai bitul de date D este transmis la ieșire, iar Y1 = Date.

Dacă bitul de date D este scăzut, ieșirea Y1 este scăzută. DACĂ bitul de date D este mare, ieșirea Y1 este mare. Valoarea ieșirii Y1 depinde de valoarea bitului de date D, ieșirile rămase sunt într-o stare scăzută.

Dacă intrarea de control se modifică la AB = 10, atunci toate porțile sunt restricționate, cu excepția celei de-a treia porți ȘI din partea de sus. Apoi, bitul de date D este transmis doar la ieșirea Y2 și, Y2 = Date. . Cel mai bun exemplu de demultiplexor 1X4 este IC 74155.

1-8 Demultiplexor

Demultiplexorul este, de asemenea, numit distribuitor de date, deoarece necesită o intrare, 3 linii selectate și 8 ieșiri. De-multiplexorul ia o singură linie de date de intrare și apoi o comută pe oricare dintre liniile de ieșire. Diagrama circuitului demultiplexor de la 1 la 8 este prezentată mai jos, utilizează 8 porți ȘI pentru realizarea operației.

1-8 Circuit Demux

1-8 Circuit Demux

Bitul de intrare este considerat ca fiind datele D și este transmis către liniile de ieșire. Acest lucru depinde de valoarea de intrare de control a AB. Când AB = 01, a doua poartă superioară F1 este activată, în timp ce porțile AND rămase sunt dezactivate, iar bitul de date este transmis la ieșire dând F1 = date. Dacă D este scăzut, F1 este scăzut, iar dacă D este ridicat, F1 este ridicat. Deci, valoarea F1 depinde de valoarea lui D, iar ieșirile rămase sunt în stare scăzută.

Avantajele și dezavantajele Demultiplexerului

avantajele demultiplexului r include următoarele.

  • Un demultiplexor sau Demux este folosit pentru a împărți semnalele reciproce în fluxuri separate.
  • Funcția Demux este opusă MUX-ului.
  • Transmiterea semnalelor audio sau video are nevoie de o combinație de Mux și Demux.
  • Demux este utilizat ca decodor în cadrul sistemelor de securitate din sectoarele bancare.
  • Eficiența sistemului de comunicații poate fi îmbunătățită prin combinația Mux & Demux.

dezavantaje ale demultiplexorului include următoarele.

  • S-ar putea întâmpla pierderea lățimii de bandă
  • Din cauza sincronizării semnalelor, ar putea avea loc întârzieri

Aplicații ale Demultiplexerului

Demultiplexerele sunt utilizate pentru a conecta o singură sursă la destinații multiple. Aceste aplicații includ următoarele:

Sistem de comunicatii

Mux și demux sunt ambele utilizate în sistemele de comunicații pentru a efectua procesul de transmitere a datelor. Un De-multiplexor primește semnalele de ieșire de la multiplexor și la capătul receptorului le convertește înapoi la forma originală.

Unitate logică aritmetică

Ieșirea ALU este alimentată ca intrare în De-multiplexer, iar ieșirea demultiplexorului este conectată la mai multe registre. Ieșirea ALU poate fi stocată în mai multe registre.

Convertor de la serie la paralel

Acest convertor este utilizat pentru reconstituirea datelor paralele. În această tehnică, datele seriale sunt date ca intrare la De-multiplexor la un interval regulat, iar un contor este atașat la demultiplexer la intrarea de control pentru a detecta semnalul de date la ieșirea demultiplexorului. Când sunt stocate toate semnalele de date, ieșirea demux-ului poate fi citită în paralel.

Diferența dintre multiplexor și demultiplexor

Principala diferență între multiplexor și demultiplexor este discutată mai jos.

Multiplexor Demultiplexor
Un multiplexor (Mux) este un circuit combinațional care utilizează mai multe intrări de date pentru a genera o singură ieșire.Un demultiplexor (Demux) este, de asemenea, un circuit combinațional care utilizează o singură intrare care poate fi direcționată pe mai multe ieșiri.
Multiplexorul include mai multe intrări și o singură ieșireDemultiplexorul include o singură intrare și mai multe ieșiri
Un multiplexor este un selector de dateDemultiplexorul este un distribuitor de date
Este un comutator digitalEste un circuit digital
Funcționează pe principiul mulți la unuFuncționează pe principiul unu-la-mulți
Conversia paralelă cu cea în serie este utilizată în multiplexorConversia serial în paralel este utilizată în Demultiplexer
Multiplexorul utilizat în TDM (Time Division Multiplexing se află la sfârșitul transmițătorului)Demultiplexorul utilizat în TDM (Time Division Multiplexing se află la sfârșitul receptorului)
Multiplexorul se numește MUXDemultiplexorul se numește Demux
Nu folosește alte porți în timpul proiectăriiÎn aceasta, sunt necesare porți suplimentare în timpul proiectării demux-ului
În multiplexor, semnalele de control sunt utilizate pentru a alege intrarea specifică care trebuie trimisă la ieșire.Demultiplexorul utilizează semnalul de control pentru a ne permite să includem mai multe ieșiri.
Multiplexorul este utilizat pentru a îmbunătăți eficiența sistemului de comunicații folosind date de transmisie, cum ar fi transmiterea audio, precum și video.Demultiplexorul primește semnalele o / p de la Mux și le-a schimbat în forma unică de la capătul receptorului.
Diferitele tipuri de multiplexoare sunt 8-1 MUX, 16-1 MUX și 32-1 MUX.Diferitele tipuri de demultiplexoare sunt 1-8 Demux, 1-16 Demux, 1-32 Demux.
În multiplexor, setul de linii de selecție este utilizat pentru a controla intrarea specificăÎn demultiplexor, selecția liniei de ieșire poate fi controlată prin valori de biți ale liniilor de selecție n.

Diferența cheie între multiplexor și demultiplexor

Diferențele cheie dintre multiplexor și demultiplexor sunt discutate mai jos.

  • Circuitele logice combinaționale, cum ar fi multiplexorul și demultiplexorul, sunt utilizate în cadrul sistemelor de comunicații, însă funcția lor este exact opusă una altuia, deoarece una funcționează pe intrări multiple, în timp ce cealaltă funcționează numai pe intrare.
  • Multiplexorul sau Mux este un dispozitiv N-la-1, în timp ce demultiplexorul este un dispozitiv de la 1 la N.
  • Un multiplexor este utilizat pentru a converti mai multe semnale analogice sau digitale într-un singur semnal o / p prin diferite linii de control. Aceste linii de control pot fi determinate utilizând această formulă, cum ar fi 2n = r, unde „r” este numărul de semnale i / p și „n” este numărul de linii de control necesare.
  • Metoda de conversie a datelor utilizată în MUX este paralelă cu serialul și nu este dificil de înțeles deoarece folosește intrări diferite. Cu toate acestea, DEMUX funcționează destul de invers față de MUX, ca o conversie în serie în paralel. Deci, numărul de ieșiri poate fi atins în acest caz.
  • Un demultiplexor este utilizat pentru a converti un semnal i / p în mai multe. Numărul de semnale de control poate fi determinat utilizând aceeași formulă de MUX.
  • Atât Mux, cât și Demux sunt utilizate pentru a transmite datele pe o rețea cu o lățime de bandă mai mică. Dar multiplexorul este utilizat la capătul emițătorului, în timp ce Demux este utilizat la capătul receptorului.

Aceasta este informația de bază despre multiplexoare și demultiplexere. Sper că ați putea avea câteva concepte fundamentale despre acest subiect observând circuitele logice și aplicațiile lor. Puteți scrie opiniile dvs. despre acest subiect în secțiunea de comentarii de mai jos.

Credite foto